Technical Papers Highlight Need to Maintain Essential Uses of PFAS in Semiconductor Industry

Thursday, Apr 27, 2023, 12:00pm

by David Isaacs, Vice President, Government Affairs


Semiconductors form the foundation of modern technology, enabling innovations that make the world smarter, greener, more efficient, and better connected. Producing these complex devices is a marvel of modern manufacturing, requiring highly advanced manufacturing processes and the use of chemicals, gases, and materials with specific performance and functional attributes.

Among the inputs essential to chip manufacturing is a broad category of thousands of chemical substances known as per-and polyfluoroalkyl substances (PFAS). The Semiconductor PFAS Consortium has released the first of a series of technical papers summarizing the critical uses of PFAS in the semiconductor industry and the significant technical challenges to replace these substances in the fabrication process and in fab equipment. The first paper, “The Impact of a Potential PFAS Restriction on the Semiconductor Sector,” provides an overview of the uses of PFAS in various applications in the semiconductor industry and the timeline needed to develop, qualify, and implement alternatives. The Consortium plans to release several more papers in the coming weeks.

PFAS, which consist of multiple fluorine molecules attached to carbon, are used in a wide range of industrial processes and consumer products. A growing body of scientific evidence has identified at least some of these chemicals as raising environmental and health concerns. As a result, governments around the world are considering proposals to address concerns associated with the use of PFAS, often by restricting the entire category of chemicals, regardless of whether a particular compound has been determined to pose environmental or health risks. Although the semiconductor industry only accounts for a small amount of the world’s total PFAS usage, many uses of specific PFAS are essential to the ability to fabricate semiconductors, as documented in the first paper and in subsequent technical papers to be released. Furthermore, there are no known substitutes currently available in most applications.

As governments around the world consider legislation or regulation to restrict the use of PFAS in industrial processes or consumer products, the semiconductor industry seeks to play a constructive role in adoption of sound policy. Some uses of PFAS may provide only limited societal benefit relative to the risks posed to human health and the environment, or substitutes may be reasonably available. Restrictions on these non-essential uses are appropriate and necessary to address environmental and health concerns. Other uses of PFAS may not present risks to human health and the environment.

In the semiconductor industry, policymakers should proceed carefully in placing restrictions on the uses of fluorinated chemicals. Given the critical role of semiconductors in our economy and national security, it is important to avoid policies that unduly restrict current semiconductor operations or future innovation. At minimum, broad restrictions on PFAS as a class should provide critical use exemptions for the uses of fluorinated chemicals in the semiconductor industry outlined in the papers and provide sufficient time for the industry and its suppliers to identify and qualify potential substitutes.

The semiconductor industry has successfully replaced or reduced certain specific PFAS over the past two decades. For example, given environmental and health concerns in the early 2000s with one long-chain PFAS, perfluorooctane sulfonic acid (PFOS), the global semiconductor industry worked to phase-out non-essential uses of the chemical and ultimately eliminate the use of this chemical. Similarly, many companies have already eliminated the use of another type of PFAS of concern, perfluorooctanoic acid (PFOA), and the global industry is committed to the complete elimination of this chemical by 2025.[1]  At the same time, the industry has worked for decades to reduce emissions of fluorinated greenhouse gases through process optimization, use of alternative fluorinated gases with higher process utilization efficiency or lower global warming potential, implementation of alternative processes, and development and implementation of abatement.[2] Each of these efforts required a decade or more of research and development to integrate substitute materials into the complex fabrication process.

The papers document the functional attributes possessed by certain PFAS in meeting the rigorous performance requirements of fabricating semiconductors. For example, a paper previously published by Professor Ober and colleagues at Cornell University summarizes the uses of fluorinated materials in the lithography process and concludes: “The addition of small quantities of fluorinated materials enables patterning capabilities that are otherwise not possible to achieve, and this leads to superior device performance. The compact size of the fluorine atom and its strong electron withdrawing characteristics make it stand out in the periodic table and gives fluorocarbon materials unique properties, unmatched by other chemical compounds.”[3] Fluorinated materials provide unique capabilities in other areas throughout the semiconductor manufacturing supply chain, and each of the consortium papers sets forth the critical role of PFAS in achieving the necessary functional and performance attributes, the lack of known alternatives for achieving the same level of performance, and the obstacles to replacing PFAS in these processes.

The process of researching and identifying alternatives to these chemicals requires extensive research and potentially the discovery of new materials, likely taking many years. Research is actively ongoing into substitutes for PFAS in the semiconductor industry, but to date, no suitable alternatives have been found that successfully replace many of the essential uses of PFAS in the chip manufacturing, equipment, and infrastructure. If suitable non-PFAS alternatives can be identified that meet the industry’s rigorous performance requirements with an improved environmental profile, the industry must engage in the complex process of qualifying these materials for integration into high-volume semiconductor manufacturing. The fabrication process entails hundreds of precise, sequential, and integrated steps using an array of sophisticated capital equipment, and each step must achieve near perfect performance (>99%). A typical semiconductor process technology change timeline is at least 10-15 years to fully qualify and integrate solutions. We must also take care to ensure that replacements have an improved environmental, health, and safety profile and avoid the risk of “regrettable substitution,” where industry undertakes the expensive process of finding and integrating substitutes into our processes, only to learn that new substitutes fail to offer an improved environmental, health, and safety profile.

Additional research is also needed to improve the detection of PFAS, particularly in low concentrations, sometimes in the parts per trillion. The semiconductor industry currently uses many PFAS varieties for which no proven analytical techniques exist. Validated analytical methods will be required to demonstrate compliance with emerging restrictions on the use of these materials. Finally, research is needed to improve the characterization and development of environmental controls to minimize PFAS emissions to the environment. Because of the very strong carbon-to-fluorine bonds, we must identify ways to achieve permanent destruction of these bonds and how to demonstrate and integrate these processes into the treatment of complex semiconductor wastewaters and exhaust streams. Industry and government can accelerate these efforts by supporting research into alternatives to PFAS that meet the rigorous performance needs of the semiconductor industry.

The Semiconductor PFAS Consortium, organized under the auspices of the Semiconductor Industry Association (SIA), consists of industry experts from SIA member companies and other companies throughout the supply chain. The Consortium is preparing these papers to better inform public policy surrounding the uses of these chemicals in the semiconductor industry. The additional technical papers cover a range of uses of PFAS in the chip industry, including the following:

  • Background on Semiconductor Manufacturing and PFAS
  • Case Study: PFOS and PFOA Conversion to Short-Chain PFAS Used in the Semiconductor Manufacturing
  • Case Study: PFAS-Containing Surfactants Used in Semiconductor Manufacturing
  • Case Study: PFAS-Containing Photo-Acid Generators (PAGs) Used in Semiconductor Manufacturing
  • White Paper: PFAS-Containing Fluorochemicals Used in Semiconductor Manufacturing Plasma-Enabled Etch and Deposition
  • White Paper: PFAS-Containing Heat Transfer Fluids (HTF) Used in Semiconductor Manufacturing
  • White Paper: PFAS-Containing Materials Used in Semiconductor Manufacturing Assembly Test Packaging and Substrate Processes
  • White Paper: PFAS-Containing Wet Chemistries Used in Semiconductor Manufacturing
  • White Paper: PFAS-Containing Lubricants Used in Semiconductor Manufacturing
  • White Paper: PFAS-Containing Articles Used in Semiconductor Manufacturing

The papers will be available for download at: https://www.semiconductors.org/pfas/.

The semiconductor industry is committed to playing a leadership role in addressing the risks posed by PFAS. The Consortium papers are intended to be an important contribution to understanding the challenges facing the semiconductor industry in this effort.

[1] Joint Statement of the World Semiconductor Council (WSC), available at http://www.semiconductorcouncil.org/wp-content/uploads/2018/05/22nd-WSC-Joint-Statement-San-Diego-CA-FINAL-1.pdf.
[2] In 2011, the WSC announced it achieved a 32 percent reduction in PFC emissions compared with the baseline. See WSC Joint Statement at http://www.semiconductorcouncil.org/wp-content/uploads/2016/07/WSC_2011_Joint_Statement.pdf. Since that time the WSC has continued its efforts to reduce emissions on a normalized basis.
[3] Christopher K. Ober, Florian Käfer, Jingyuan Deng, “The essential use of fluorochemicals in lithographic patterning and semiconductor processing,” J. Micro/Nanopattern. Mater. Metrol. 21(1), 010901 (2022), doi: 10.1117/1.JMM.21.1.010901, available at http://dx.doi.org/10.1117/1.JMM.21.1.010901