Semiconductor PFAS Consortium Concludes First Phase of Technical Research

Monday, Aug 21, 2023, 12:30pm

by Alex Gordon, Policy Associate

by David Isaacs, Vice President, Government Affairs


Following 18 months of research, surveys, working group meetings, and technical review, the Semiconductor PFAS Consortium published its tenth and final white paper on the uses of PFAS in the semiconductor industry. The papers identify the essential performance attributes of different PFAS chemistries in various applications in the semiconductor manufacturing process and semiconductor manufacturing equipment and infrastructure, as well as the significant technical challenges the industry faces in replacing these substances in these various applications. The white papers also consider workplace health and safety, in addition to environmental releases and controls.

This series of papers provides policymakers and industry experts a significant body of knowledge and technical data needed to contribute to the formulation of an industry-wide approach regarding the semiconductor industry’s use of PFAS, as well as to better inform global, national, and state regulation and legislation. The topics of the 10 white papers are listed below and are available for download on the SIA website.

  • Background on Semiconductor Manufacturing and PFAS
  • PFAS-Containing Surfactants Used in Semiconductor Manufacturing
  • PFOS and PFOA Conversion to Short-Chain PFAS-Containing Materials Used in Semiconductor Manufacturing
  • PFAS-Containing Photo-Acid Generators Used in Semiconductor Manufacturing
  • PFAS-Containing Fluorochemicals Used in Semiconductor Manufacturing Plasma-Enabled Etch and Deposition
  • PFAS-Containing Heat Transfer Fluids Used in Semiconductor Manufacturing
  • PFAS-Containing Materials Used in Semiconductor Manufacturing Assembly Test Packaging and Substrate Processes
  • PFAS-Containing Wet Chemistries Used in Semiconductor Manufacturing
  • PFAS-Containing Lubricants Used in Semiconductor Manufacturing
  • PFAS-Containing Articles Used in Semiconductor Manufacturing

The white papers find that a variety of PFAS are used in thousands of essential applications in the semiconductor supply chain, including the complex tools needed to manufacture chips, in numerous process steps in the fab, and in  the assembly and packaging process. In the vast majority of instances, the PFAS chemistries used have unique properties and functionality for which there are no readily available alternatives or “drop-in” substitutes. Developing alternatives will require extensive research and new discoveries, and integrating and qualifying a non-PFAS substance with the necessary performance requirements can take between 5-25 years for use in high-volume manufacturing operations. While there may eventually be viable alternatives in some cases, full replacement of PFAS-containing materials may not be possible for some of the most exacting applications without a change in the material systems that enabled scalability and reliability in high volume manufacturing. For ongoing critical uses of PFAS in the industry, substantial work is needed on optimizing and minimizing the use of these substances and capture and abatement of discharges.

The Consortium also published a study on “The Impact of a Potential PFAS Restriction on the Semiconductor Sector,” considering the effects of potential restrictions considering the lack of alternatives for these essential uses.

The Consortium now enters its next phase of collaboration, focused on release mapping and model development as well as assessing the industry’s management of PFAS and identification of technologies that will minimize uses and releases by following the pollution prevention hierarchy.

The Consortium, which features 42 member companies from across the semiconductor supply chain, including device makers, equipment manufacturers, and chemical suppliers, and the papers reflect the tireless work of hundreds of technical experts from these companies. The work of the Semiconductor PFAS Consortium demonstrates the committment of the semiconductor industry and its supply chain to playing a leadership role in addressing the risks posed by PFAS. Companies interested in joining the Consortium can learn more on the SIA website or by contacting PFASconsortium@semiconductors.org.